Intel Atom

From OnnoWiki
Jump to navigation Jump to search

Intel Atom is the brand name for a line of ultra-low-voltage x86 and x86-64 CPUs (or microprocessors) from Intel, designed in 45 nm CMOS and used mainly in netbooks, nettops, and Mobile Internet devices (MIDs). On December 21, 2009 Intel announced the next generation of Atom processors, including the N450, with total kit power consumption down 40%.

History

Intel Atom is a direct successor of the Intel A100/A110 low-power microprocessors (code-named Stealey), which were built on a 90 nm process, had 512 KB L2 cache and run at 600MHz/800MHz with 3W TDP. Prior to the Silverthorne announcement, outside sources had speculated that Atom would compete with AMD's Geode system-on-a-chip processors, used by the One Laptop per Child project, and other cost- and power-sensitive applications for x86 processors. However, Intel revealed on October 15, 2007 that it was developing another new mobile processor, codenamed Diamondville, for OLPC-type devices.

"Atom" was the name under which Silverthorne would be sold, while the supporting chipset formerly code-named Menlow was called Centrino Atom. Intel's initial Atom press release only briefly discussed "Diamondville" and implied that it too would be named "Atom", strengthening speculation that Diamondville is simply a lower-cost, higher-yielding version of Silverthorne with slightly higher TDPs at slightly lower clock speeds.

At Spring Intel Developer Forum (IDF) 2008 in Shanghai, Intel officially announced that Silverthorne and Diamondville are based on the same microarchitecture. Silverthorne would be called the Atom Z series and Diamondville would be called the Atom N series. The more expensive lower-power Silverthorne parts will be used in Intel Mobile Internet Devices (MIDs) whereas Diamondville will be used in low-cost desktop and notebooks. Several Mini-ITX motherboard samples have also been revealed. Intel and Lenovo also jointly announced an Atom powered MID called the IdeaPad U8. The IdeaPad U8 weighs 280 g and has a touchscreen providing better portability than a netbook PC and easier Internet viewing than a mobile phone or PDA.

In April 2008, a MID development kit was announced by Sophia Systems and the first board called CoreExpress-ECO was revealed by a German company LiPPERT Embedded Computers, GmbH. Intel offers Atom based motherboards.

Availability

Atom processors became available to system manufacturers in 2008. Because they are soldered, like northbridges and southbridges, onto a mainboard, Atom processors are not available to home users or system builders as separate processors, although they may be obtained preinstalled on some ITX motherboards. The Diamondville Atom is used in the HP Mini Series, aigo MID Asus N10, Lenovo IdeaPad S10, Acer Aspire One & Packard Bell's "dot" (ZG5), recent ASUS Eee PC systems, AMtek Elego, Dell Inspiron Mini Series, Gigabyte M912, LG X Series, Samsung NC10, Sylvania g Netbook Meso, Toshiba NB series (100, 200, 205), MSI Wind PC netbooks, RedFox Wizbook 1020i, Zenith Z-Book, a range of Aleutia desktops, and the Archos 10.

Performance

The performance of a single core Atom is about half that of a Celeron of the same clock rate. For example, the Atom N270 found in many netbooks such as the Eee PC can deliver around 3300 MIPS and 2.1 GFLOPS in standard benchmarks, compared to 7400 MIPS and 3.9 GFLOPS for the similarly clocked (1.73 GHz) Pentium M 740.

Instruction set architecture

Atom implements the x86 (IA-32) instruction set; x86-64 is so far only activated for the desktop Diamondville and desktop and mobile Pineview cores. The Atom N2xx and Z5xx series Atom models cannot run x86-64 code.

Architecture

Intel Atom can execute up to two instructions per cycle. Like many other x86 microprocessors, it translates x86-instructions (CISC instructions) into simpler internal operations (sometimes referred to as micro-ops i.e. effectively RISC style instructions) prior to execution. The majority of instructions produce one micro-op when translated, with around 4% producing multiple micro-ops. The number of instructions that produce more than one micro-op is significantly fewer than the P6 and NetBurst microarchitectures. In the Atom, internal μ-ops can contain both a memory load and a memory store in connection with an ALU operation, thus being more similar to the x86 level and more powerful than the μ-ops used in previous designs.[1]</ref> This enables relatively good performance with only two integer ALUs, and without any instruction reordering, speculative execution, or register renaming. Atom therefore represents a partial revival of the principles used in earlier Intel designs such as Intel P5 and the i486, with the sole purpose of enhancing the performance per watt ratio. However, Hyper-Threading is implemented as an easy (i.e. low power) way to employ both pipelines efficiently by avoiding the typical single thread dependencies.

Intel Atom processor family
Previous logo Current logo Code-name Series Core TDP HT x86-64 Intel VT Release date Remarks
Intel Atom logo 2008 Intel Atom logo 2009 MID / Ultra-Mobile PC
Silverthorne Atom Z single (45 nm) 0.65~2 W No No No April 2008 Silverthorne can be part of Centrino Atom which was discontinued in Q3 2008
2~2.4 W Yes Yes
Classmate PC / Netbook / Nettop
Diamondville Atom N200 single (45 nm) 2.5 W Yes No No June 2008 Netbooks or low-cost laptops
Atom 200 4 W Yes Nettops or low-cost desktops
Atom 300 dual (45 nm) 8 W September 2008
Pineview Atom N400 single (45 nm) 5.5 W January 2010
Atom D dual (45 nm) 10/13 W
List of Intel Atom microprocessors

Atom Z series

On March 2, 2008, Intel announced a new single-core processor (code-named Silverthorne) to be used in ultra-mobile PCs/Mobile Internet Devices (MIDs) which will supersede Intel A100. The processor is a 47 million transistor, 25 mm2, sub-3 W IA processor which allows ~2500 chips to fit on a single 300 mm diameter wafer, allowing for extremely economical production.

An Atom Z500 processor's dual-thread performance is equivalent to its predecessor Intel A110, but should outperform it on applications that can leverage simultaneous multithreading and SSE3. They run from 0.8 to 2.0 GHz and have between 0.65 and 2.4 W TDP rating respectively that can dip down to 0.01 W when idle. It features a 2-issue simultaneous multithreading, 16 stage in-order pipeline with 32 KB instruction L1 and 24 KB data L1 caches, integer and floating point execution units, x86 front end, a 512 KB L2 cache and data transferred at 533 MHz on the front-side bus. The design is manufactured in 9M 45 nm high-k metal-gate CMOS and housed in a 441-ball µFCBGA package.

Atom N series

The Intel Atom N270

On March 2, 2008, Intel announced lower-power variants of the Diamondville and Pineview CPUs previously intended for nettops, to be used in the Classmate PC netbook. Like their predecessors, these are single-core parts with HyperThreading.

The N270 has 2.5 W TDP, running at 1.6 GHz with a 533 MHz FSB. The N280 has a 1.66 GHz clockspeed and a 667 MHz FSB with slightly lower TDP at 2W.

On December 21, 2009 Intel announced the N450 with integrated graphics. Total kit power goes from 11.8 W to 7 W with the new processor and chipset, a 40% improvement. Intel GMA 3150, a 45nm shrink of the GMA 3100 with no HD capabilities, is included as the on-die GPU. Netbooks using this new processor were expected to be publicly shown at CES on January 4, 2010, and to be released for sale on January 11, 2010. The major new feature includes longer battery life, with 6 cell systems reporting 10 or more hours of battery life.

Atom 300 series

On September 22, 2008, Intel announced a new dual-core processor (unofficially code-named Dual Diamondville) branded Atom 330 of the Atom 300 series to be used in desktop computers. It runs at a 1.6 GHz clock speed and has an FSB running at 533 MHz. The processor has an 8 W TDP rating. Its dual core comprises two Diamondville dies next to each other on a single package (substrate). Atom 330 supports 64 bit instructions.

During 2009, Nvidia used the Atom 300 and their GeForce 9400M chipset on a mini-ITX form factor motherboard for their Ion platform.

Power requirements

The relatively power-efficient Atom CPU is often used with a cheaper, more power consuming chipset like the Intel 945G

Although the Atom processor itself is relatively power efficient for an x86 microprocessor, many chipsets commonly used with it dissipate significantly more power. For example, while the N270 CPU commonly used in netbooks has a net maximum TDP of 2.5 W, an Intel Atom platform that uses the 945GSE Express chipset has a specified maximum TDP of 11.8 W, with the processor using a relatively small portion of the total power consumed. Individual figures are 2.5 W for the N270 processor, 6 W for the 945GSE chipset and 3.3 W for the 82801GBM I/O controller. Intel also provides the Intel System Controller Hub US15W chipset with a combined TDP of less than 5 W together with the Atom processor Z5xx (Silverthorne) series, to be used in ultra-mobile PCs/Mobile Internet Devices (MIDs).

Initially, all Atom motherboards on the consumer market featured the Intel 945GC chipset, which uses 22 watts alone. As of early 2009, only a few manufacturers are offering lower power 945GSE-based motherboards to end users, paired with the Atom N270 or N280 CPU, while Dell's Mini10 (but not Mini10V) uses the US15W chipset with Z-series processors.

N450

The current generation platform for the netbook version of the Intel Atom is codenamed "Pine Trail-M", which utilizes an Atom processor codenamed "Pineview-M" and a chipset codenamed "Tiger Point". The graphics and memory controller have moved into the processor, which is paired with the Tiger Point chipset. This creates a 2 chip platform rather than the 3 chip one previously used with previous-generation Atom chipsets.

The current generation of the Atom is codenamed "Pineview" which utilizes the "Lincroft" system-on-a-chip architecture and is used in the "Pine Trail" platform. The new Atom became available on January 11, 2010. It is used in netbook/nettop systems, and features a system-on-chip (SOC) with an integrated single-channel DDR2 memory controller and an integrated graphics core. It features hyper-threading and is still manufactured on a 45 nm process. The new system-on-a-chip design uses half the power of the older "Menlow" platform. This reduced overall power consumption and size makes the platform more desirable for use in smartphones and other mobile internet devices.

LinuxTECH.NET has put together an overview of all announced/released "Pine Trail" platform motherboards.

On December 23, 2009, MSI introduced a new series of netbooks to be released in January 2010, the Wind U130 and U135, which are among the first netbooks to incorporate the new "Pine Trail" platform. Other companies, like ASUS and Dell have also introduced netbooks based on the Pineview-M chip N450.

Future

In the second-half of 2010, Intel will release new versions of Pineview Atom chips that will support DDR3 memory.

In the second half of 2011, Intel will release a new Atom platform for netbook codenamed "Cedar Trail", which will be fabricated on the 32 nm technology. Development of the new chip and platform will begin in late 2010. Intel claims that the chip will boast higher clock speeds and double performance as compared to the yet released "Pine Trail", although details are scarce.

Collaboration with TSMC

In March 2009, Intel announced that they will be collaborating with TSMC for the production of the Atom processors.

Competition

Embedded processors based on the ARM version 7 instruction set architecture (such as TI's OMAP 3 series and Freescale's i.MX51 based on the Cortex-A8 processor, or the Qualcomm Snapdragon and Marvell Armada 500/600 based on custom ARMv7 implementations) offer similar performance to the low end Atom chipsets but at roughly one quarter the power consumption, and (like most ARM systems) as a single integrated system on a chip, rather than a two chip solution like the current Atom line. Although the next-generation Atom codenamed "Pineview" should greatly increase its competitiveness in performance/watt, ARM plans to counter the threat with the multi-core capable Cortex-A9 processor.

The Nano series from VIA is slightly above the average thermal envelope of the Atom, but offers hardware AES support, random number generators, and out-of-order execution. Performance comparisons of the Intel Atom against the Via Nano indicate that a single core Intel Atom is outperformed by the Via Nano which is in turn outperformed by a dual core Intel Atom 330 in tests where the second core is used.

The Xcore86 (also known as the PMX 1000) is x586 based System on Chip (SoC) that offers a below average thermal envelope compared to the Atom.

Kenton Williston of EE Times said that while Atom will not displace ARM from its current markets, the ability to apply the PC architecture into smaller, cheaper and lower power form factors will open up new markets for Intel.

See also

Press

External links